Active Filters

  • (-) PSI Authors = Fallica, Roberto A.
  • (-) Keywords ≠ absorption coefficient
Search Results 1 - 20 of 22
Select Page
Nanolithographic top-down patterning of polyoxovanadate‐based nanostructures with switchable electrical resistivity
Rösner, B., Fallica, R., Johnson, M., Späth, A., Fink, R., Ekinci, Y., … Streb, C. (2020). Nanolithographic top-down patterning of polyoxovanadate‐based nanostructures with switchable electrical resistivity. ChemNanoMat, 6(11), 1620-1624. https://doi.org/10.1002/cnma.202000425
Beyond grayscale lithography: inherently three-dimensional patterning by Talbot effect
Fallica, R. (2019). Beyond grayscale lithography: inherently three-dimensional patterning by Talbot effect. Advanced Optical Technologies, 8(3-4), 233-240. https://doi.org/10.1515/aot-2019-0005
Absorption coefficient of metal-containing photoresists in the extreme ultraviolet
Fallica, R., Haitjema, J., Wu, L., Castellanos, S., Brouwer, A. M., & Ekinci, Y. (2018). Absorption coefficient of metal-containing photoresists in the extreme ultraviolet. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17(2), 023505 (7 pp.). https://doi.org/10.1117/1.JMM.17.2.023505
Changes in the near edge x-ray absorption fine structure of hybrid organic-inorganic resists upon exposure
Fallica, R., Watts, B., Rösner, B., Della Giustina, G., Brigo, L., Brusatin, G., & Ekinci, Y. (2018). Changes in the near edge x-ray absorption fine structure of hybrid organic-inorganic resists upon exposure. Nanotechnology, 29(36), 36LT03 (6 pp.). https://doi.org/10.1088/1361-6528/aaccd4
Photoacid generator-polymer interaction on the quantum yield of chemically amplified resists for extreme ultraviolet lithography
Fallica, R., & Ekinci, Y. (2018). Photoacid generator-polymer interaction on the quantum yield of chemically amplified resists for extreme ultraviolet lithography. Journal of Materials Chemistry C, 6(27), 7267-7273. https://doi.org/10.1039/c8tc01446a
State-of-the-art EUV materials and processes for the 7 nm node and beyond
Buitrago, E., Meeuwissen, M., Yildirim, O., Custers, R., Hoefnagels, R., Rispens, G., … Ekinci, Y. (2017). State-of-the-art EUV materials and processes for the 7 nm node and beyond. In E. M. Panning & K. A. Goldberg (Eds.), Proceedings of SPIE: Vol. 10143. Extreme Ultraviolet (EUV) lithography VIII (p. 101430T (8 pp.). https://doi.org/10.1117/12.2260153
Selective surface smoothening of polymer microlenses by depth confined softening
Chidambaram, N., Kirchner, R., Fallica, R., Yu, L., Altana, M., & Schift, H. (2017). Selective surface smoothening of polymer microlenses by depth confined softening. Advanced Materials Technologies, 2(5), 1700018 (10 pp.). https://doi.org/10.1002/admt.201700018
Chemical changes in hybrid photoresists before and after exposure by <em>in situ</em> NEXAFS analysis
Fallica, R., Watts, B., Della Giustina, G., Brigo, L., Brusatin, G., & Ekinci, Y. (2017). Chemical changes in hybrid photoresists before and after exposure by in situ NEXAFS analysis. In C. K. Hohle (Ed.), Proceedings of SPIE: Vol. 10146. Advances in patterning materials and processes XXXIV (p. 101461F (7 pp.). https://doi.org/10.1117/12.2258215
High-resolution grayscale patterning using extreme ultraviolet interference lithography
Fallica, R., Kirchner, R., Schift, H., & Ekinci, Y. (2017). High-resolution grayscale patterning using extreme ultraviolet interference lithography. Microelectronic Engineering, 177, 1-5. https://doi.org/10.1016/j.mee.2017.01.007
Lithographic performance of ZEP520A and mr-PosEBR resists exposed by electron beam and extreme ultraviolet lithography
Fallica, R., Kazazis, D., Kirchner, R., Voigt, A., Mochi, I., Schift, H., & Ekinci, Y. (2017). Lithographic performance of ZEP520A and mr-PosEBR resists exposed by electron beam and extreme ultraviolet lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 35(6), 061603 (7 pp.). https://doi.org/10.1116/1.5003476
Atomic-scale study of the amorphous-to-crystalline phase transition mechanism in GeTe thin films
Mantovan, R., Fallica, R., Mokhles Gerami, A., Mølholt, T. E., Wiemer, C., Longo, M., … Weyer, G. (2017). Atomic-scale study of the amorphous-to-crystalline phase transition mechanism in GeTe thin films. Scientific Reports, 7(1), 8234 (12 pp.). https://doi.org/10.1038/s41598-017-08275-5
Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography
Tasdemir, Z., Mochi, I., Garrido Olvera, K., Meeuwissen, M., Yildirim, O., Custers, R., … Ekinci, Y. (2017). Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography. In P. A. Gargini, P. P. Naulleau, K. G. Ronse, & T. Itani (Eds.), Proceedings of SPIE: Vol. 10450. International conference on Extreme Ultraviolet lithography 2017 (p. 104501T (10 pp.). https://doi.org/10.1117/12.2280541
EUV lithography process challenges
Buitrago, E., Kulmala, T. S., Fallica, R., & Ekinci, Y. (2016). EUV lithography process challenges. In A. Robinson & R. Lawson (Eds.), Frontiers of Nanoscience: Vol. 11. Materials and processes for next generation lithography (pp. 135-176). https://doi.org/10.1016/B978-0-08-100354-1.00004-1
From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute
Buitrago, E., Fallica, R., Fan, D., Karim, W., Vockenhuber, M., van Bokhoven, J. A., & Ekinci, Y. (2016). From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute. In G. Lérondel, S. Kawata, & Y. H. Cho (Eds.), Proceedings of SPIE: Vol. 9926. UV and higher energy photonics: from materials to applications (p. 99260T (12 pp.). https://doi.org/10.1117/12.2238805
SnO<sub>x</sub> high-efficiency EUV interference lithography gratings towards the ultimate resolution in photolithography
Buitrago, E., Fallica, R., Fan, D., Kulmala, T. S., Vockenhuber, M., & Ekinci, Y. (2016). SnOx high-efficiency EUV interference lithography gratings towards the ultimate resolution in photolithography. Microelectronic Engineering, 155, 44-49. https://doi.org/10.1016/j.mee.2016.02.023
Comparative study of line roughness metrics of chemically amplified and inorganic resists for EUV
Fallica, R., Buitrago, E., & Ekinci, Y. (2016). Comparative study of line roughness metrics of chemically amplified and inorganic resists for EUV. In C. K. Hohle & T. R. Younkin (Eds.), Proceedings of SPIE: Vol. 9779. Advances in patterning materials and processes XXXIII (p. 97790K (7 pp.). https://doi.org/10.1117/12.2217766
Comparative study of line roughness metrics of chemically amplified and inorganic resists for extreme ultraviolet
Fallica, R., Buitrago, E., & Ekinci, Y. (2016). Comparative study of line roughness metrics of chemically amplified and inorganic resists for extreme ultraviolet. Journal of Micro/Nanolithography, MEMS, and MOEMS, 15(3), 34003 (5 pp.). https://doi.org/10.1117/1.JMM.15.3.034003
Comparative study of resists and lithographic tools using the Lumped Parameter Model
Fallica, R., Kirchner, R., Ekinci, Y., & Mailly, D. (2016). Comparative study of resists and lithographic tools using the Lumped Parameter Model. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 34(6), 06K702 (8 pp.). https://doi.org/10.1116/1.4967183
Optimization and sensitivity enhancement of high-resolution molecular resist for EUV lithography
Frommhold, A., McClelland, A., Roth, J., Fallica, R. A., Ekinci, Y., & Robinson, A. P. G. (2016). Optimization and sensitivity enhancement of high-resolution molecular resist for EUV lithography. In E. M. Panning & K. A. Goldberg (Eds.), Proceedings of SPIE: Vol. 9776. Extreme ultraviolet (EUV) lithography VII (p. 977614 (9 pp.). https://doi.org/10.1117/12.2219221
Toward 10 nm half-pitch in EUV lithography: results on resist screening and pattern collapse mitigation techniques
Kulmala, T. S., Vockenhuber, M., Buitrago, E., Fallica, R., & Ekinci, Y. (2015). Toward 10 nm half-pitch in EUV lithography: results on resist screening and pattern collapse mitigation techniques. In O. R. Wood II & E. M. Panning (Eds.), Proceedings of SPIE: Vol. 9422. Extreme ultraviolet (EUV) lithography VI (p. 942204 (12 pp.). https://doi.org/10.1117/12.2085936