Active Filters

  • (-) Keywords = interference lithography
  • (-) PSI Authors ≠ Auzelyte, Vaida
Search Results 1 - 20 of 35
Select Page
Advancements in EUV photoresists for high-NA lithography
Develioglu, A., Vockenhuber, M., Van Lent-Protasova, L., Mochi, I., Ekinci, Y., & Kazazis, D. (2023). Advancements in EUV photoresists for high-NA lithography. In P. P. Naulleau, P. A. Gargini, T. Itani, & K. G. Ronse (Eds.), Proceedings of SPIE - the international society for optical engineering: Vol. 12750. International conference on extreme ultraviolet lithography (p. 1275008 (11 pp.). https://doi.org/10.1117/12.2686250
The EUV lithography resist screening activities in H2-2022
Develioglu, A., Allenet, T. P., Vockenhuber, M., van Lent-Protasova, L., Mochi, I., Ekinci, Y., & Kazazis, D. (2023). The EUV lithography resist screening activities in H2-2022. In D. Guerrero & G. R. Amblard (Eds.), Proceedings of SPIE: Vol. 12498. Advances in patterning materials and processes XL (p. 1249805 (9 pp.). https://doi.org/10.1117/12.2660859
EUV resist screening update: progress towards High-NA lithography
Allenet, T., Vockenhuber, M., Yeh, C. K., Santaclara, J. G., van Lent-Protasova, L., Ekinci, Y., & Kazazis, D. (2022). EUV resist screening update: progress towards High-NA lithography. In D. P. Sanders & D. Guerrero (Eds.), Proceedings of SPIE: Vol. 12055. Advances in patterning materials and processes XXXIX (p. 120550F (10 pp.). https://doi.org/10.1117/12.2614171
High-efficiency diffraction gratings for EUV and soft x-rays using spin-on-carbon underlayers
Wang, X., Kazazis, D., Tseng, L. T., Robinson, A. P. G., & Ekinci, Y. (2022). High-efficiency diffraction gratings for EUV and soft x-rays using spin-on-carbon underlayers. Nanotechnology, 33(6), 065301 (10 pp.). https://doi.org/10.1088/1361-6528/ac328b
From omelet lithography to state-of-the-art performance resists: resist screening with EUV interference lithography
Allenet, T., Mortelmans, T., Vockenhuber, M., Yeh, C. K., & Ekinci, Y. (2021). From omelet lithography to state-of-the-art performance resists: resist screening with EUV interference lithography. In T. Allenet, T. Mortelmans, M. Vockenhuber, C. K. Yeh, Y. Ekinci, K. G. Ronse, … E. Hendrickx (Eds.), Proceedings of SPIE: Vol. 11854. International conference on extreme ultraviolet lithography 2021 (p. 1185417 (8 pp.). https://doi.org/10.1117/12.2600920
Progress in EUV resist screening by interference lithography for high-NA lithography
Allenet, T., Vockenhuber, M., Yeh, C. K., Kazazis, D., Santaclara, J. G., van Lent-Protasova, L., & Ekinci, Y. (2021). Progress in EUV resist screening by interference lithography for high-NA lithography. In T. Allenet, M. Vockenhuber, C. K. Yeh, D. Kazazis, J. Garcia-Santaclara, L. van Lent-Protasova, … E. Hendrickx (Eds.), Proceedings of SPIE: Vol. 11854. International conference on extreme ultraviolet lithography 2021 (p. 118540N (10 pp.). https://doi.org/10.1117/12.2600963
Progress in EUV resist screening towards the deployment of high-NA lithography
Allenet, T., Wang, X., Vockenhuber, M., Yeh, C. K., Mochi, I., Santaclara, J. G., … Ekinci, Y. (2021). Progress in EUV resist screening towards the deployment of high-NA lithography. In N. M. Felix & A. Lio (Eds.), Proceedings of SPIE: Vol. 11609. Extreme ultraviolet (EUV) lithography XII (p. 116090J (10 pp.). https://doi.org/10.1117/12.2583983
Image blur investigation using EUV-interference lithography
Allenet, T., Santaclara, J. G., Rispens, G., Geh, B., & Ekinci, Y. (2020). Image blur investigation using EUV-interference lithography. In T. Allenet, J. Garcia-Santaclara, G. Rispens, B. Geh, Y. Ekinci, P. P. Naulleau, … K. G. Ronse (Eds.), Proceedings of SPIE: Vol. 11517. Extreme ultraviolet lithography 2020 (p. 115170J (9 pp.). https://doi.org/10.1117/12.2573126
Progress in EUV resists for contact holes printing using EUV interference lithography
Wang, X., Tseng, L. T., Mochi, I., Vockenhuber, M., van Lent-Protasova, L., Custers, R., … Ekinci, Y. (2019). Progress in EUV resists for contact holes printing using EUV interference lithography. In U. F. W. Behringer & J. Finders (Eds.), Proceedings of SPIE: Vol. 11177. 35th European mask and lithography conference (EMLC 2019) (p. 111770U (8 pp.). https://doi.org/10.1117/12.2535678
Progress in EUV resists towards high-NA EUV lithography
Wang, X., Tasdemir, Z., Mochi, I., Vockenhuber, M., van Lent-Protasova, L., Meeuwissen, M., … Ekinci, Y. (2019). Progress in EUV resists towards high-NA EUV lithography. In K. A. Goldberg (Ed.), Proceedings of SPIE: Vol. 10957. Extreme ultraviolet (EUV) lithography X (p. 109570A (9 pp.). https://doi.org/10.1117/12.2516260
Progress overview of EUV resists status towards high-NA EUV lithography
Wang, X., Tseng, L. T., Mochi, I., Vockenhuber, M., van Lent-Protasova, L., Custers, R., … Ekinci, Y. (2019). Progress overview of EUV resists status towards high-NA EUV lithography. In T. Itani, P. A. Gargini, P. P. Naulleau, & K. G. Ronse (Eds.), Proceedings of SPIE: Vol. 11147. International conference on extreme ultraviolet lithography 2019 (p. 1114711 (10 pp.). https://doi.org/10.1117/12.2536923
Studying resist performance for contact holes printing using EUV interference lithography
Wang, X., Tseng, L. T., Kazazis, D., Tasdemir, Z., Vockenhuber, M., Mochi, I., & Ekinci, Y. (2019). Studying resist performance for contact holes printing using EUV interference lithography. Journal of Micro/Nanolithography, MEMS, and MOEMS, 18(1), 013501 (11 pp.). https://doi.org/10.1117/1.JMM.18.1.013501
Evaluation of EUV resists for 5 nm technology node and beyond
Tasdemir, Z., Wang, X., Mochi, I., van Lent-Protasova, L., Meeuwissen, M., Custers, R., … Ekinci, Y. (2018). Evaluation of EUV resists for 5 nm technology node and beyond. In K. G. Ronse, E. Hendrickx, P. P. Naulleau, P. A. Gargini, & T. Itani (Eds.), Proceedings of SPIE: Vol. 10809. International conference on extreme ultraviolet lithography 2018 (p. 108090L (10 pp.). https://doi.org/10.1117/12.2502688
Studying resist performance for contact holes printing using EUV interference lithography
Wang, X., Tseng, L. T., Kazazis, D., Tasdemir, Z., Vockenhuber, M., Mochi, I., & Ekinci, Y. (2018). Studying resist performance for contact holes printing using EUV interference lithography. In K. G. Ronse, E. Hendrickx, P. P. Naulleau, P. A. Gargini, & T. Itani (Eds.), Proceedings of SPIE: Vol. 10809. International conference on extreme ultraviolet lithography 2018 (p. 108091Z (13 pp.). https://doi.org/10.1117/12.2501949
State-of-the-art EUV materials and processes for the 7 nm node and beyond
Buitrago, E., Meeuwissen, M., Yildirim, O., Custers, R., Hoefnagels, R., Rispens, G., … Ekinci, Y. (2017). State-of-the-art EUV materials and processes for the 7 nm node and beyond. In E. M. Panning & K. A. Goldberg (Eds.), Proceedings of SPIE: Vol. 10143. Extreme Ultraviolet (EUV) lithography VIII (p. 101430T (8 pp.). https://doi.org/10.1117/12.2260153
Extreme ultraviolet patterning of tin-oxo cages
Haitjema, J., Zhang, Y., Vockenhuber, M., Kazazis, D., Ekinci, Y., & Brouwer, A. M. (2017). Extreme ultraviolet patterning of tin-oxo cages. In E. M. Panning & K. A. Goldberg (Eds.), Proceedings of SPIE: Vol. 10143. Extreme Ultraviolet (EUV) lithography VIII (p. 1014325 (10 pp.). https://doi.org/10.1117/12.2257911
Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography
Tasdemir, Z., Mochi, I., Garrido Olvera, K., Meeuwissen, M., Yildirim, O., Custers, R., … Ekinci, Y. (2017). Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography. In P. A. Gargini, P. P. Naulleau, K. G. Ronse, & T. Itani (Eds.), Proceedings of SPIE: Vol. 10450. International conference on Extreme Ultraviolet lithography 2017 (p. 104501T (10 pp.). https://doi.org/10.1117/12.2280541
SnO<sub>x</sub> high-efficiency EUV interference lithography gratings towards the ultimate resolution in photolithography
Buitrago, E., Fallica, R., Fan, D., Kulmala, T. S., Vockenhuber, M., & Ekinci, Y. (2016). SnOx high-efficiency EUV interference lithography gratings towards the ultimate resolution in photolithography. Microelectronic Engineering, 155, 44-49. https://doi.org/10.1016/j.mee.2016.02.023
Patterning of nanodot-arrays using EUV achromatic Talbot lithography at the Swiss Light Source and Shanghai Synchrotron Radiation Facility
Fan, D., Buitrago, E., Yang, S., Karim, W., Wu, Y., Tai, R., & Ekinci, Y. (2016). Patterning of nanodot-arrays using EUV achromatic Talbot lithography at the Swiss Light Source and Shanghai Synchrotron Radiation Facility. Microelectronic Engineering, 155, 55-60. https://doi.org/10.1016/j.mee.2016.02.026
Photolithography reaches 6 nm half-pitch using EUV light
Fan, D., & Ekinci, Y. (2016). Photolithography reaches 6 nm half-pitch using EUV light. In E. M. Panning & K. A. Goldberg (Eds.), Proceedings of SPIE: Vol. 9776. Extreme ultraviolet (EUV) lithography VII (p. 97761V (11 pp.). https://doi.org/10.1117/12.2219737